Sintetizando un Spectrum con el ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
radastan
Amiga 2500
Amiga 2500
Mensajes: 4542
Registrado: 11 Jun 2007, 19:29
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Sega Genesis/Megadrive
Primera consola: TV Games/Pong Clone
Ubicación: Córdoba
Gracias dadas: 9 veces
Gracias recibidas: 40 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor radastan » 13 Feb 2014, 23:08

Y este ZX Spectrum SI te cabe en casa. :D
Yo tengo una máquina del tiempo, se llama ZX Spectrum, siempre me devuelve a los buenos momentos.
(\.../) (\.../) (\.../) (\.../)
( *.*) ('.'= ) ('.'= ) ('.'= )
(")_(") (")_(") (")_(") (")_(")
╔═══╦═══╦═══╦══╦══╗
║╔═╗║╔═╗║╔═╗╠╣╠╩╣╠╝
║║─║║╚══╣║─╚╝║║─║║
║╚═╝╠══╗║║─╔╗║║─║║
║╔═╗║╚═╝║╚═╝╠╣╠╦╣╠╗
╚╝─╚╩═══╩═══╩══╩══╝

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor mcleod_ideafix » 13 Feb 2014, 23:36

Por favor, probad a cargar alguno de estos juegos:
- King's Valley : se me bloquea cuando pulso la tecla SPACE
- Cobra : se bloquea cuando empieza el juego (versión original carga turbo con contador y relleno de pantalla muy chulo)
- Arkanoid : se bloquea nada más terminar de cargar (versión original carga turbo)

Antes de cargarlos, bloquead la paginación con
OUT 32765,32

Para que se comporte como un auténtico Spectrum 48K

Decidme si se bloquean los juegos o no. No parece cosa del puerto $FF (aunque sí lo pareciera), ni cosa de las interrupciones, así que estoy mirando si podría ser del gestor de memoria: las escrituras las hace atinando al último nanosegundo, y quizás no sean tan estables como debieran, en algunos casos. Estoy viendo de qué forma puedo hacer el sistema más estable en este sentido, pero por si acaso fuera un problema local mío debido a vete tú a saber qué, ¿podeis probar vosotros?
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 14 Feb 2014, 00:31

King's Valley. Confirmado, el juego carga pero falla al pulsar una tecla (acción) para jugar.
Cobra. También confirmado. Aquí tiene pinta de ser el puerto $FF
Arkanoid. Carga bien tanto el juego como la intro. Se queda bloqueado al comienzo (o no encuentro la tecla para empezar).

Ahora voy a probar ulatest3, a ver si pasa algo raro con el bus flotante

-- Actualizado 14 Feb 2014, 00:49 --

El puerto $FF está mal sintetizado, sólo da valores en las columnas 1 y 3 cuando debería hacerlo en la 3, 4, 5 y 6. Te puedo asegurar que al menos el error del Cobra se debe a esto. Cobra tiene una rutina que sincroniza al acabar el borde superior y comenzar la pantalla, sobre el ciclo 14300. Es muy sencilla, lo que hace es leer del puerto $FF hasta que encuentra un valor distinto de $FF.

En ulatest3 sólo aparecen bytes de atributo, no de bitmap. A lo mejor es un fallo de contención y no del puerto $FF lo que provoca que no coincida con lo esperado.

-- Actualizado 14 Feb 2014, 01:01 --

Lo que tendría que pasar es lo que se muestra en esta pantalla:

http://wizard.ae.krakow.pl/~jb/qaop/tests.html
Adjuntos
ulatest.zip
(62.77 KiB) Descargado 205 veces

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor mcleod_ideafix » 14 Feb 2014, 01:03

Aun no me he metido con el puerto $FF de verdad porque hay errores, como el del King's Valley, que no tienen que ver con eso. Cuando los descarte, veré a ver qué pasa con Cobra y Arkanoid. Da la casualidad de que esos dos juegos funcionan sin problemas en un Spectrum 48K con la ULAplus, en la que el puerto $FF funciona igual que como lo hace aquí. Por eso, de momento, lo descarto (por eso y sobre todo por el error del King's Valley).

Sigo con pruebas. El test8 está subido pero no es nada sintetizable: es un test bench para probar a ver qué tal se porta la memoria.
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 14 Feb 2014, 01:53

Cierto, no encuentro nada relacionado con el puerto flotante en King's Valley. Tendrá que ser algo del arbitrador.

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 14 Feb 2014, 06:58

radastan escribió:Y este ZX Spectrum SI te cabe en casa. :D


SI, y como solo me he quedado las cosas "peques" y las de "mucha morriña", por ahora, me sobra sitio, y tanto como para tener el ZXUNO siempre encima de la mesa con la TV de 10", va a ser que sí. ;-)

-- Actualizado 14 Feb 2014, 07:00 --

mcleod_ideafix escribió:Por favor, probad a cargar alguno de estos juegos:


A mediodia te digo algo. Probé a cargar MIS juegos, los que hice para la revista MicroHobby y (lógico) cargaban bien. Que morriña verlos en algo tan chiquitito, y qué recuerdos de mis años mozos escribiendo en un "gomas" con 14 años..... :tecle:

superfo
Dragon 32
Dragon 32
Mensajes: 18
Registrado: 21 Abr 2013, 09:01
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum +2
consola_favorita: Sony PlayStation 1
Primera consola: Nintendo GameBoy

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor superfo » 14 Feb 2014, 08:02

Hi,
After done with my Superfo 128K now I continue to work on ZX-UNO and I just make it work today.
I forgot to tell you that C1 have to be 10pf so the AD724 will work correctly.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 14 Feb 2014, 08:20

superfo escribió:Hi,
After done with my Superfo 128K now I continue to work on ZX-UNO and I just make it work today.
I forgot to tell you that C1 have to be 10pf so the AD724 will work correctly.


Well done!

Please remember take some photos in HD for the website... ;)

-- Actualizado 14 Feb 2014, 09:27 --

EDIT: TECLADOS PARA LOS PROTOTIPOS!

Antonio, he perdido la cuenta de los ZX-Uno que has repartido y/o están funcionando... o funcionarán en breve, si mis cuentas no fallan tenemos tu PCB, la de Mcleod, la de Jepalza, Superfo... y cuando tenga un hueco Radastan...

(Si sobra algún prototipo montado... jejeje... no digo más)...

Luego me salen 5 prototipos, con sus correspondientes teclados (+1 porque tu pides pegatinas X2)... luego 6 teclados.

¿Correcto?

Acerca del diseño, llevo barruntando toda la noche como voy a hacerlo... entiendo que lo ideal es que sea lo más parecido al ZX gomas original... esto me va a obligar a descartar la typo ZX... al ser "pixel" me da miedo que algún carácter no se entienda bien... hay que meterlo todo en 12x12mm.

Luego hago algunos test de teclas y diferentes tipografias y decidimos... ok?
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
radastan
Amiga 2500
Amiga 2500
Mensajes: 4542
Registrado: 11 Jun 2007, 19:29
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Sega Genesis/Megadrive
Primera consola: TV Games/Pong Clone
Ubicación: Córdoba
Gracias dadas: 9 veces
Gracias recibidas: 40 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor radastan » 14 Feb 2014, 08:33

jepalza escribió:
radastan escribió:Y este ZX Spectrum SI te cabe en casa. :D


SI, y como solo me he quedado las cosas "peques" y las de "mucha morriña", por ahora, me sobra sitio, y tanto como para tener el ZXUNO siempre encima de la mesa con la TV de 10", va a ser que sí. ;-)


Venga, dilo, no pasa nada: ESTÁS MÁS A GUSTO Y DISFRUTAS MÁS CON LO QUE TIENES AHORA

Yo estoy realizando otra limpia (de paso para sacar pasta porque este mes estoy MUY jodido económicamente) y pretendo quedarme con mucho menos.
Yo tengo una máquina del tiempo, se llama ZX Spectrum, siempre me devuelve a los buenos momentos.
(\.../) (\.../) (\.../) (\.../)
( *.*) ('.'= ) ('.'= ) ('.'= )
(")_(") (")_(") (")_(") (")_(")
╔═══╦═══╦═══╦══╦══╗
║╔═╗║╔═╗║╔═╗╠╣╠╩╣╠╝
║║─║║╚══╣║─╚╝║║─║║
║╚═╝╠══╗║║─╔╗║║─║║
║╔═╗║╚═╝║╚═╝╠╣╠╦╣╠╗
╚╝─╚╩═══╩═══╩══╩══╝

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 14 Feb 2014, 09:17

Hark0 escribió:Antonio, he perdido la cuenta de los ZX-Uno que has repartido y/o están funcionando... o funcionarán en breve, si mis cuentas no fallan tenemos tu PCB, la de Mcleod, la de Jepalza, Superfo... y cuando tenga un hueco Radastan...

(Si sobra algún prototipo montado... jejeje... no digo más)...

Luego me salen 5 prototipos, con sus correspondientes teclados (+1 porque tu pides pegatinas X2)... luego 6 teclados.

¿Correcto?


De los 10 prototipos que hay uno me queda a mí sin vender y otro pasó a mejor vida (el fallido de jepalza), por tanto quedan 8 prototipos. De esos 8 hay 4 montados y funcionando, y los otros 4 que quedan por ver son: radastan, JULIO, flopping y garillete.

-- Actualizado 14 Feb 2014, 09:19 --

Otra cosa, he probado el cable RGB y funciona perfecto. Eso sí, hay que soldar una tira de pines macho a modo de extensor para enchufar el cable JTAG, porque si no es un incordio tener que estar enchufando y desenchufando.

-- Actualizado 14 Feb 2014, 09:30 --

Hark0 escribió:(Si sobra algún prototipo montado... jejeje... no digo más)...


El que me sobra está parcialmente montado. Lo más chungo (la FPGA) ya está soldada, igual necesitas repasar algunos pines que no hacen buen contacto, pero la probabilidad de que eches a perder el clon como le pasó a jepalza es baja. Lo que te quiero decir es que no necesitas ser un manitas con el soldador, tan sólo mucha paciencia y tomar precauciones, como usar flux cada vez que haya que repasar la FPGA. Ah y también tienes que comprarte un cable, unos 25 euros o algo menos si es paralelo como el de jepalza.


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 16 invitados