Teclado para ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Teclado para ZX-Uno

Mensajepor antoniovillena » 28 Feb 2014, 14:32

Este hilo lo hemos sacado aparte del principal:

Sintetizando un Spectrum con el ZX-Uno

Se trata de diseñar unas pegatinas para poner en un teclado PS/2 de PC convencional. Las pegatinas tienen que cubrir 3 layouts distintos: los dos propuestos por McLeod (inglés y español) y el propuesto por mí. Estos son los 3 layouts:

keyboardEsp.png
keyboardEsp.png (133.8 KiB) Visto 10764 veces


keyboardEng.png
keyboardEng.png (133.96 KiB) Visto 10764 veces


keyboardAv.png
keyboardAv.png (127.21 KiB) Visto 10890 veces
Última edición por antoniovillena el 06 Mar 2014, 13:18, editado 2 veces en total.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Teclado para ZX-Uno

Mensajepor Hark0 » 03 Mar 2014, 14:03

Imagen

Muestra de las medidas de las que disponemos por tecla... de momento las "chungas"... las otras a priori son más sencillas de implementar.

Adjunto PDF y original Coreldraw (X5) por si alguien quiere imprimir/trastear...

Evidentemente la typo es una Arial a pelo... habrá que poner condensadas o similares para que quede mejor ajustado... Cuando tenga tiempo hago test con diferentes typos...

;)

Enlace PDF: https://dl.dropboxusercontent.com/u/556 ... edidas.pdf
Enlace Coreldraw: https://dl.dropboxusercontent.com/u/556 ... o/Keyb.cdr
Enlace PNG: https://picasaweb.google.com/1183305806 ... 1545434850
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
radastan
Amiga 2500
Amiga 2500
Mensajes: 4542
Registrado: 11 Jun 2007, 19:29
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Sega Genesis/Megadrive
Primera consola: TV Games/Pong Clone
Ubicación: Córdoba
Gracias dadas: 9 veces
Gracias recibidas: 40 veces
Contactar:

Re: Teclado para ZX-Uno

Mensajepor radastan » 03 Mar 2014, 14:45

Con lo secillo que sería simplemente trasladar el mapeado del teclado del PC al del ZX Spectrum. Yo tengo un adaptador para teclado PS/2 así para ZX Spectrum y es una gozada escribir listados BASIC en 128K.

Dudo mucho que alguien se ponga a programar en BASIC en modo 48K, está prohibido por la Convención de Derechos Humanos.
Yo tengo una máquina del tiempo, se llama ZX Spectrum, siempre me devuelve a los buenos momentos.
(\.../) (\.../) (\.../) (\.../)
( *.*) ('.'= ) ('.'= ) ('.'= )
(")_(") (")_(") (")_(") (")_(")
╔═══╦═══╦═══╦══╦══╗
║╔═╗║╔═╗║╔═╗╠╣╠╩╣╠╝
║║─║║╚══╣║─╚╝║║─║║
║╚═╝╠══╗║║─╔╗║║─║║
║╔═╗║╚═╝║╚═╝╠╣╠╦╣╠╗
╚╝─╚╩═══╩═══╩══╩══╝

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 03 Mar 2014, 15:36

Con estas pegatinas queremos cubrir todas las posibilidades. Hay 2 posibles filosofías para mapear que nos lleva a 3 posibles mapeados. Lo que tú sugieres se consigue con el mapeado "McLeod" layout español, que será el mapeado por defecto que lleve el ZX-Uno. Es el que se ajusta a la mayoría de usuarios y al ser más fácil de asimilar (para alguien que no se sepa el layout del gomas de memoria, que somos prácticamente todos) las pegatinas no son tan necesarias.

Pero vamos que incluso para 128K o versiones no tokenizadas de 48K las pegatinas siguen siendo útiles (ciertos símbolos, carácteres gráficos, etc...). Hay que llegar a un compromiso entre ser fieles y ser prácticos. Si somos 100% prácticos los listados BASIC habría que escribirlos sobre PC usando conversores tipo BAS2TAP. Si somos 100% fieles tendríamos que construirnos un teclado personalizado con pulsadores y enchufarlo a los pines EXTs del ZX-Uno. Con un teclado PS/2 conseguimos algo intermedio, más cercano a lo práctico con los teclados McLeod y más cercano a lo fiel con mi propuesta.

En resumen, estas pegatinas cubrirán los 3 posibles mapeados: McLeod español, McLeod inglés y AntonioVillena.

-- Actualizado 03 Mar 2014, 15:41 --

Por cierto Hark0, tienes que sacar pegatinas extra para los números que se adapten a los teclados McLeod español e inglés.

-- Actualizado 03 Mar 2014, 15:52 --

Serían las 103 pegatinas que propuse aquí (para cubrir los 3 layouts)

viewtopic.php?f=26&t=4855&start=20#p38463

Avatar de Usuario
jrodriguezv
MSX Turbo R
MSX Turbo R
Mensajes: 325
Registrado: 02 Jun 2012, 18:21
Sistema Favorito: Amstrad CPC
primer_sistema: Amstrad CPC
consola_favorita: Sega Genesis/Megadrive
Ubicación: Madrid

Re: Teclado para ZX-Uno

Mensajepor jrodriguezv » 03 Mar 2014, 16:01

¿Se podría sacar el logo del ZX-Uno para cubrir la tecla de Windows? Creo que sería un detalle :-)

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 03 Mar 2014, 16:31

Me encanta la idea. Otra cuestión es, ¿Qué función implementamos?

Avatar de Usuario
radastan
Amiga 2500
Amiga 2500
Mensajes: 4542
Registrado: 11 Jun 2007, 19:29
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Sega Genesis/Megadrive
Primera consola: TV Games/Pong Clone
Ubicación: Córdoba
Gracias dadas: 9 veces
Gracias recibidas: 40 veces
Contactar:

Re: Teclado para ZX-Uno

Mensajepor radastan » 03 Mar 2014, 16:57

[quote="antoniovillena"En resumen, estas pegatinas cubrirán los 3 posibles mapeados: McLeod español, McLeod inglés y AntonioVillena.[/quote]

-chistemalo on- No sabía yo que McLeod tenía dos versiones. -chistemalo off- :mrgreen:

Por mi estupendo.
Yo tengo una máquina del tiempo, se llama ZX Spectrum, siempre me devuelve a los buenos momentos.
(\.../) (\.../) (\.../) (\.../)
( *.*) ('.'= ) ('.'= ) ('.'= )
(")_(") (")_(") (")_(") (")_(")
╔═══╦═══╦═══╦══╦══╗
║╔═╗║╔═╗║╔═╗╠╣╠╩╣╠╝
║║─║║╚══╣║─╚╝║║─║║
║╚═╝╠══╗║║─╔╗║║─║║
║╔═╗║╚═╝║╚═╝╠╣╠╦╣╠╗
╚╝─╚╩═══╩═══╩══╩══╝

Avatar de Usuario
na_th_an
Amiga 1200
Amiga 1200
Mensajes: 1273
Registrado: 10 Oct 2012, 11:17
Sistema Favorito: (Otro)
primer_sistema: Spectrum +2
consola_favorita: Sony PlayStation 1
Primera consola: Sega Master System
Gracias dadas: 18 veces
Gracias recibidas: 15 veces

Re: Teclado para ZX-Uno

Mensajepor na_th_an » 03 Mar 2014, 17:05

radastan escribió:Dudo mucho que alguien se ponga a programar en BASIC en modo 48K, está prohibido por la Convención de Derechos Humanos.


¡Huy! Pues aquí tienes a uno :lol: Programar en BASIC en el modo 48K es bastante más rápido. Como lo que tuve fue un +2A, terminé aprendiéndome donde estaban todos los tokens ¡y aún me acuerdo!

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 03 Mar 2014, 18:21

Hark0, te he puesto un cutre-layout con todas las teclas necesarias. Son las 103 que te indiqué antes, más 4 para los cursores en el teclado McLeod, que todavía no es definitivo pero seguramente conmutará entre Cursores y Kempston en el ZX-Uno.

KeybZX.png
KeybZX.png (203.91 KiB) Visto 10900 veces


-- Actualizado 03 Mar 2014, 18:31 --

Falta la tecla espacio, aunque no sé si necesita una pegatina. Hay pegatinas con ancho variable. Por ejemplo he puesto los 4 Shifts (Caps Shift, Symbol Shift y los dos de PC) con ancho máximo. Dependiendo del layout necesitarán ser recortados porque irán en teclas Ctrl que son más pequeñas. Es más, creo que incluso el Shift derecho (en el teclado de un PC) es más ancho que el izquierdo, así que sugiero poner un ancho máximo para las 4 y luego recortar a medida.

-- Actualizado 03 Mar 2014, 19:07 --

Recuerda que tienes completa libertad para cambiar los diseños. Por ejemplo los números están muy sobrecargados, yo por ejemplo pondría el modificador de la tecla Shift de PC en una esquina, algo como esto:

arreglo.png
arreglo.png (5.15 KiB) Visto 10897 veces

Avatar de Usuario
Metalbrain
MSX Turbo R
MSX Turbo R
Mensajes: 435
Registrado: 22 Nov 2008, 00:30
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Gracias recibidas: 2 veces

Re: Teclado para ZX-Uno

Mensajepor Metalbrain » 03 Mar 2014, 19:15

radastan escribió:Dudo mucho que alguien se ponga a programar en BASIC en modo 48K, está prohibido por la Convención de Derechos Humanos.


Para mi lo insufrible es la lentitud del modo basic 128, me quedo mil veces con el BASIC de 48k.


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 10 invitados