Sintetizando un Spectrum con el ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 18:19

Llenaré una hoja con todas las teclas... y que salgan 4 teclados... 2 para ti, 2 para Mcleod... a Superfo le pasamos la final...;)

EDIT:

Antes de imprimir + laminar + plotear corte... pongo aqui los teclados... ;)
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 18:42

Haciendo cuentas salen 5 teclas más, las teclas del 1 al 5 de los teclados McLeod que son distintas también. En total 103 pegatinas para teclas: 71+27+5= 103

En el caso de los números en los teclados McLeod tienes 2 opciones: o hacerlas enteras o aprovechar el símbolo serigrafiado del teclado haciendo sólo la mitad inferior, en ese caso te ahorrarías 5 pegatinas porque serían las mismas para el inglés que el español (del 6 al 0).

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 19:06

antoniovillena escribió:Haciendo cuentas salen 5 teclas más, las teclas del 1 al 5 de los teclados McLeod que son distintas también. En total 103 pegatinas para teclas: 71+27+5= 103

En el caso de los números en los teclados McLeod tienes 2 opciones: o hacerlas enteras o aprovechar el símbolo serigrafiado del teclado haciendo sólo la mitad inferior, en ese caso te ahorrarías 5 pegatinas porque serían las mismas para el inglés que el español (del 6 al 0).



Lo va a cortar 1 plotter... y las quiero montar una junto a otra, con 1 corte, 2 etiquetas... ya veremos cuantos cm2 son... :P
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 19:18

Pues no sé, como hay teclas largas ponle el equivalente a 150 teclas. Esto serían 12*12*150= 216 cm2 como mucho (150 si son de 10x10). A esto habría que sumarle el logo, aunque para la beta no lo necesitamos.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 20:04

Primer montaje en vector, me lo envio a mi casa y sigo... sinó me quedo KO en el sofá XD

Imagen

Tamaño Real -> https://lh4.googleusercontent.com/-Qzd5 ... IP_001.png

No está terminado, claro... quiero ajustar colores con mi ZX de verdad :mrgreen: y ampliar las letras... además ajustaré el interletrado manualmente ya que la fuente estaba pensada para pixels, no texto justificado, etc...

;)
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 20:30

:ola

¡aleluya! FUNCIONA.....

El problema (aparte del repaso de pistas de ayer) era la alimentación del grabador de puerto paralelo. Ya comenté ayer, que el Papilio Pro SOLO funciona a 3.3 (no tiene 2.5v) por lo que el grabador, al llevar un 74LS138 (lo único que tenía para hacerlo), la unidad funcionaba.
Pero el ZXUNO funciona a 2.5 (el JTAG, se entiende), y el 74LS138 con 2.5v, como que no se llevan bien. He soltado el cable "rojo" que se ve en la foto, que iba al pin 2.5 del JTAG, para ponerlo directo a 3.3 (soldado al regulador de 3.3 en plan "provisional para siempre"), y ha sido, mano de santo.

Hasta cierto punto, por que el TEST1 de mcleod_ideafix que hace parpadear el LED rojo de cerca del JTAG, si funciona (se aprecia en la foto encendido), pero el TEST6 ya no va, y tengo que repasar por qué.

Pero es un mal menor. Pregunta antoniovillena: los puentes R32 y R33 ¿deben ir enganchados los dos, o solo uno o ninguno? yo no tengo ninguno, pero veo que tçu llevas el R32 me parece. A ver si es por esto que no veo nada en la TV
Adjuntos
IMG_4946.JPG
IMG_4946.JPG (130.2 KiB) Visto 5077 veces

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 20:32

Ole y ole, bravo @Jepalza... el que la sigue la consigue...

Te apuntas al tema teclados? :mrgreen: (mejor sacar ahora 5 ....)

EDiT: le he pegado un golpe a gugly y me da que según la ISO/IEC 9995, las teclas miden 12x14mm. Mi diseño es sobre 12x12, por si el teclado en cuestión tiene cantos muy romos...
Última edición por Hark0 el 13 Feb 2014, 20:39, editado 1 vez en total.
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 20:33

Las pegatinas verdes (y la parte verde de algunas pegatinas) tienen que tener este aspecto:

tecla.png
tecla.png (8.43 KiB) Visto 5073 veces


Evidentemente con otros colores. La división de colores en los números no la hagas por la mitad, sino por 2/3 o 3/4 dejando en verde el lado más grande. Ahí van a estar muy petados los símbolos (o con la fuente muy pequeña).

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 20:40

antoniovillena escribió:Las pegatinas verdes (y la parte verde de algunas pegatinas) tienen que tener este aspecto:

tecla.png


Evidentemente con otros colores. La división de colores en los números no la hagas por la mitad, sino por 2/3 o 3/4 dejando en verde el lado más grande. Ahí van a estar muy petados los símbolos (o con la fuente muy pequeña).


No problemo...
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 20:41

jepalza escribió:Pero es un mal menor. Pregunta antoniovillena: los puentes R32 y R33 ¿deben ir enganchados los dos, o solo uno o ninguno? yo no tengo ninguno, pero veo que tçu llevas el R32 me parece. A ver si es por esto que no veo nada en la TV


Uno sólo, R32, pon un trocito de cable porque con estaño solo es muy difícil. El otro es para seleccionar NTSC, pero claro requiere otro cristal y algunos cambios en la configuración. Enhorabuena por arreglarlo, ibas bien encaminado.


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 10 invitados