Sintetizando un Spectrum con el ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 11:16

Hark0 escribió:¿Con que app?

Entonces un tema menos ¿ok?


Cualquier emulador de spectrum.

Ok. Por cierto te ha quedado muy limpio y sin nada de pixelado.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 11:28

antoniovillena escribió:
Hark0 escribió:¿Con que app?

Entonces un tema menos ¿ok?


Cualquier emulador de spectrum.

Ok. Por cierto te ha quedado muy limpio y sin nada de pixelado.


Aro, es lo que tiene hacerlo desde cero pixel a pixel....

http://litiopixel.blogspot.com.es/2014/ ... pixel.html

-- Actualizado 13 Feb 2014, 13:06 --

Acerca del teclado... creo que tenemos una solución...

Tengo un proveedor que me hace los vinilos de corte (las letras que llevan las furgonetas pegadas en las puertas)...

Estos vinilos se pueden pegar encima de las teclas, pero hay que hacer un trazado de corte (yo me encargo)... nos lo servirían en una hoja de vinilo con lo que son las teclas recortadas ¿pido precio? ¿cuantos?

En caso afirmativo, entiendo que hay que partir del tamaño standard de las teclas de un pc, el mío mide una tecla normal unos 12x12 mm.

Yo me encargo del diseño cuando el layout de las teclas esté OK final.

;)


PD Links del site oficial modificados/añadido este...
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 12:55

Por finnnnnn

He conseguido arreglar el tema de mi teclado. No he necesitado hacer el cambio con el otro (que funcionaba sin problemas). En un principio he quitado las resistencias pulldown. He probado y nada, seguía igual. Luego me propuse sustituir las resistencias de pullup por las internas de la FPGA. En un primer momento decidí poner las de la FPGA por probar (total, no tenía que soldar), así que estaban las dos a la vez, las externas y las internas. Funcionó perfectamente, así que así lo dejo. Es posible que quitando las externas siga funcionando, pero no me arriesgo a tocar más la PCB. Si algunos de vosotros mientras montáis dejáis esas resistencias para el final, bien, así sabremos si funciona.

@McLeod si no te importa prueba a activar las pullups internas:

Código: Seleccionar todo

NET "clkps2" LOC="P107" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "dataps2" LOC="P95" | IOSTANDARD = LVCMOS33 | PULLUP;


Si te funciona déjalo así, así no tengo que compilar cada vez que actualices

-- Actualizado 13 Feb 2014, 12:57 --

Hark0 escribió:Estos vinilos se pueden pegar encima de las teclas, pero hay que hacer un trazado de corte (yo me encargo)... nos lo servirían en una hoja de vinilo con lo que son las teclas recortadas ¿pido precio? ¿cuantos?

En caso afirmativo, entiendo que hay que partir del tamaño standard de las teclas de un pc, el mío mide una tecla normal unos 12x12 mm.

Yo me encargo del diseño cuando el layout de las teclas esté OK final.


Espera, no pidas presupuesto todavía. Voy a hacer una prueba con un par de rectángulos de papel de 12x12 a ver cómo quedarían, luego te paso el fichero por si alguien más lo quiere probar.

-- Actualizado 13 Feb 2014, 13:03 --

McLeod he echado una partida al PacMan y con los cursores no se juega muy fino. Con OPQA sin problemas. Puede ser porque el juego detecte cursores y Kempston a la vez y se haga un lío, no lo sé.

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor mcleod_ideafix » 13 Feb 2014, 13:30

antoniovillena escribió:@McLeod si no te importa prueba a activar las pullups internas:

Código: Seleccionar todo

NET "clkps2" LOC="P107" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "dataps2" LOC="P95" | IOSTANDARD = LVCMOS33 | PULLUP;


Si te funciona déjalo así, así no tengo que compilar cada vez que actualices

Ok.

antoniovillena escribió:McLeod he echado una partida al PacMan y con los cursores no se juega muy fino. Con OPQA sin problemas. Puede ser porque el juego detecte cursores y Kempston a la vez y se haga un lío, no lo sé.

Sí, ya me di cuenta que cursores y Kempston a la vez no es buena idea, y comenté que habría que usar algún tipo de switch (o sea, tecla) para conmutar de una cosa a otra. En la interfaz de teclado PS/2 - Spectrum por microcontrolador, señalizo que estoy usando los cursores como joystick encendiendo BloqDesp (la tecla que conmuta de una cosa a otra es de hecho BloqDesp), pero aquí de momento iríamos a ciegas :D
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 13:52

antoniovillena escribió:Espera, no pidas presupuesto todavía. Voy a hacer una prueba con un par de rectángulos de papel de 12x12 a ver cómo quedarían, luego te paso el fichero por si alguien más lo quiere probar.


He empezado el diseño... con la typo ZX...

Dime colores finales que quieres en las teclas (RGB)... acabo de medir otro teclado, creo que 12x12 es lo ideal... quizas 10x10 para no pillarnos los dedos...

Ah! ¿fondo negro verdad? :-P

El material que comento... vinilo, creo que quedará de narices... ;) Además si incluyo en el trazado los cantos romos (esquinas curvas), quedarán unas pegatinas chulas chulas... para las que no son "usables" se pegan en negro encima y quedan "nulas"... ;)
Adjuntos
Layout_ZX_Keyb.png
Layout_ZX_Keyb.png (103.19 KiB) Visto 5373 veces
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 14:21

A ver, existen dos teclados (en realidad 3):
  • Teclado McLeod. Es muy parecido al teclado del PC. En este teclado el Ctrl izquierdo es Caps Shift, el derecho Symbol Shift y las mayúsculas sirven para modificar las teclas específicas del teclado de PC. Este teclado requiere pocas pegatinas, unas 8 o 10. En este teclado hay dos formas de escribir símbolos, por ejemplo las comillas las puedes escribir con Mayus+2 ó con CtrlIz+P. Hay dos variantes de este teclado, uno para cada idioma (inglés y español).
  • Teclado AntonioVillena. Este teclado es lo más parecido a un spectrum. El spectrum original tenía 40 teclas. Con el Plus y el +2A se ampliaron unas cuantas más. Pues esto es una especie de continuación. Las mayúsculas son el Caps Shift, los Ctrl son el Symbol Shift y no existe modificador de teclado de PC. Los símbolos que se añaden son con tecla única. Es el mismo teclado para todos los layout. En este teclado es donde necesitaremos más pegatinas porque sólo hay una forma de introducir los símbolos (como se haciá en el spectrum), por lo que necesitaremos indicar los atajos.

Te adjunto cómo serían las teclas principales (las 40 originales) y una pegatina de 12x12mm (a 300dpi)

-- Actualizado 13 Feb 2014, 14:23 --

De colores no tengo ni idea. El fondo dependerá del color del teclado. Supongo que habrá que hacer un diseño con fondo blanco y otro con fondo negro.

-- Actualizado 13 Feb 2014, 16:20 --

Te dejo aquí los tres distintos teclados: El de McLeod español (KeyboardEsp), el de McLeod inglés (KeyboardEng) y el mío (KeyboardAv). En el caso de McLeod hay muy pocas teclas que tendrán pegatinas, tenemos que decidir cuales. En mi caso todas las teclas coloreadas son pegatinas. En ambos casos, las teclas blancas no llevan pegatinas porque no se usan.

-- Actualizado 13 Feb 2014, 16:24 --

Ah y se me olvidaba, en la parte de teclado numérico de mi teclado sólo las teclas violeta llevan pegatinas, la demás tienen esa funcionalidad pero no es intencionada, así que se usan las otras alternativas que son más cómodas.
Adjuntos
keyboardEsp.png
keyboardEsp.png (136.29 KiB) Visto 5337 veces
keyboardEng.png
keyboardEng.png (136.31 KiB) Visto 5337 veces
keyboardAv.png
keyboardAv.png (126.25 KiB) Visto 5337 veces
tecla.png
tecla.png (8.43 KiB) Visto 5361 veces
SpeccyKeys.png
SpeccyKeys.png (5.25 KiB) Visto 5361 veces

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 17:09

OK!

Yo el fondo lo haria FIJO... si unas son blancas, blancas y sino negras... transparentes no por dios...
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 17:16

No he puesto ningún fondo transparente. Es blanco. Aquí tienes otro diseño con fondo negro.

Lo ideal sería elegir un teclado del mismo color de la carcasa del ZX-Uno, así se hace un set de pegatinas blancas y otro de pegatinas negras, antes de pedirla hacemos una encuesta para ver proporciones y así pedimos cantidades más exactas. Ahora bien, los teclados blancos no son blancos, son beige o crema. Lo suyo es que el fondo de la tecla mimetice con el teclado lo máximo posible, no puede ser perfecto porque hay teclados más blancos que otros, pero más o menos algo intermedio. En resumen, habría 2 plantillas: una con logo blanco y teclas beige, y la otra con logo y teclas negras.

-- Actualizado 13 Feb 2014, 17:19 --

Para que quede claro, estas 40 teclas serían para incluirlas en las teclas verdes de mi teclado (KeboardAv). Habría que poner algunas pegatinas más para completar los teclados de McLeod (en estos las pegatinas no son tan importantes). Con la misma plantilla se tienen que poder hacer los 3 teclados, en función de lo que elija el usuario.
Adjuntos
spectkey.png
spectkey.png (6.8 KiB) Visto 5331 veces

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 13 Feb 2014, 17:19

antoniovillena escribió:No he puesto ningún fondo transparente. Es blanco. Aquí tienes otro diseño con fondo negro.

Lo ideal sería elegir un teclado del mismo color de la carcasa del ZX-Uno, así se hace un set de pegatinas blancas y otro de pegatinas negras, antes de pedirla hacemos una encuesta para ver proporciones y así pedimos cantidades más exactas. Ahora bien, los teclados blancos no son blancos, son beige o crema. Lo suyo es que el fondo de la tecla mimetice con el teclado lo máximo posible, no puede ser perfecto porque hay teclados más blancos que otros, pero más o menos algo intermedio. En resumen, habría 2 plantillas: una con logo blanco y teclas beige, y la otra con logo y teclas negras.



Capicci... lo que he pensado es pedirle a un amiguete que me plotee de corte los teclados "beta"...

Sobre lo que comentas de beige.... lo miro, pero mayormente lo que encuentro en el mercado (llamalo ECI) es negro...

Primero lo maqueto... ;)

-- Actualizado 13 Feb 2014, 18:19 --

antoniovillena escribió:No he puesto ningún fondo transparente. Es blanco. Aquí tienes otro diseño con fondo negro.

Lo ideal sería elegir un teclado del mismo color de la carcasa del ZX-Uno, así se hace un set de pegatinas blancas y otro de pegatinas negras, antes de pedirla hacemos una encuesta para ver proporciones y así pedimos cantidades más exactas. Ahora bien, los teclados blancos no son blancos, son beige o crema. Lo suyo es que el fondo de la tecla mimetice con el teclado lo máximo posible, no puede ser perfecto porque hay teclados más blancos que otros, pero más o menos algo intermedio. En resumen, habría 2 plantillas: una con logo blanco y teclas beige, y la otra con logo y teclas negras.



Capicci... lo que he pensado es pedirle a un amiguete que me plotee de corte los teclados "beta"...

Sobre lo que comentas de beige.... lo miro, pero mayormente lo que encuentro en el mercado (llamalo ECI) es negro...

Primero lo maqueto... ;)
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 17:22

Pues me apunto a 2 teclados beta para mí también (uno blanco y uno negro), yo te los pago.

-- Actualizado 13 Feb 2014, 17:29 --

Tendrías que hacer todas las teclas de KeyboardAv (mi teclado) más estas 27 para completar los teclados de McLeod (español en inglés), una tecla por línea:

Código: Seleccionar todo

6&
7/
8(
9)
0=
'?
^
*+
Copyright
{
}
\
<>
.:
,;
_-
6^
7&
8*
9(
0)
+=
;:
'"
,<
.>
/?


-- Actualizado 13 Feb 2014, 17:33 --

Si no me salen mal las cuentas serían 71+27= 98 pegatinas en total (ten en cuenta que hay algunas repetidas)


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 10 invitados