Teclado para ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 11 Jul 2014, 23:53

Las pegatinas me llegaron esta mañana. Todavía no he abierto el sobre. Mañana te comento.

JULIO
Commodore 128
Commodore 128
Mensajes: 121
Registrado: 22 Feb 2013, 18:58
Sistema Favorito: Spectrum +2
primer_sistema: Spectrum 16Kb/48Kb
Primera consola: TV Games/Pong Clone

Re: Teclado para ZX-Uno

Mensajepor JULIO » 12 Jul 2014, 02:34

Mamma mia, a mí tambien me llegaron, debo despegar despacio ayudándome con un cutter porque si no,
arrastro el cartón también.

Comparado con la mierda que me hicieron aquí (no doy datos lo siento) está 4 veces mejor, se nota que se
ha empleado tinta de calidad y en cantidad y el substrato o como se llame es mejor, y encima recortado.

Estoy contentisimo Harko un trabajo fino fino, dame tu cuenta paypal y pásame la factura.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Teclado para ZX-Uno

Mensajepor Hark0 » 15 Jul 2014, 08:13

Fotos, amigos, fotos... sobretodo de la versión BW, que no tenemos... :P
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

JULIO
Commodore 128
Commodore 128
Mensajes: 121
Registrado: 22 Feb 2013, 18:58
Sistema Favorito: Spectrum +2
primer_sistema: Spectrum 16Kb/48Kb
Primera consola: TV Games/Pong Clone

Re: Teclado para ZX-Uno

Mensajepor JULIO » 19 Jul 2014, 01:49

Me lo he pasado pipa, he aprovechado hasta los retales para tapar las que no se usan.
Se corta mejor con cuchilla que con tijera.

Imagen
saludos
Julio.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Teclado para ZX-Uno

Mensajepor Hark0 » 19 Jul 2014, 09:41

Ole!

¿no tenias un teclado NEGRO? :mrgreen:
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

JULIO
Commodore 128
Commodore 128
Mensajes: 121
Registrado: 22 Feb 2013, 18:58
Sistema Favorito: Spectrum +2
primer_sistema: Spectrum 16Kb/48Kb
Primera consola: TV Games/Pong Clone

Re: Teclado para ZX-Uno

Mensajepor JULIO » 19 Jul 2014, 14:08

Acabo de comprar uno USB, porque ps/2 nada. Voy a ver si puedo rehacer el tinglado.
Imagen
....a la busqueda de un adaptador USB-->ps/2 y ojalá funcione.
queda mejor todo en negro
Si alquien quiere esta foto a 12M de resolución, no tiene mas que pedirla.

saludos
Julio.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 19 Jul 2014, 18:16

Yo estuve buscando adaptadores USB->PS/2 y no encontré nada. Me comentó McLeod que es posible construirse uno con un PIC, podemos implementar algo así en un futuro como proyecto secundario del ZX-Uno. Así cada uno se lo puede hacer en casa, o bien repartir en forma de kit o que lo venda retrocables.

Si tienes suerte y encuentras alguno comercial, coméntalo por aquí.

JULIO
Commodore 128
Commodore 128
Mensajes: 121
Registrado: 22 Feb 2013, 18:58
Sistema Favorito: Spectrum +2
primer_sistema: Spectrum 16Kb/48Kb
Primera consola: TV Games/Pong Clone

Re: Teclado para ZX-Uno

Mensajepor JULIO » 19 Jul 2014, 19:53

Pues ya he encontrado uno y acabo de probarlo y me funciona.
Es de otro teclado USB recortado negro que tengo y que tiene unas teclas que no van con el diseño propuesto, las teclas son bastante mas pequeñas.
¿Dentro del adaptador USB-->PS/2 hay un microcontrolador, o un circuito conversor?
yo pensaba que solo se intercambiaban conexiones y poco más.

acabo de pasar por instructables y esto vale de teclado USB a PS/2,
(aunque puede que algnos teclados USB por sus timmings no sea compatible con PS/2)
Imagen

la pagina es:
convertidor de USB a PS/2

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Teclado para ZX-Uno

Mensajepor antoniovillena » 19 Jul 2014, 20:55

Lo que ocurre es que tu teclado es dual, acepta a la vez los protocolos USB y PS/2. Has tenido suerte porque cada vez son menos los teclados duales y más los "sólo USB". Estos teclados normalmente tiene ese clavija que adapta los conectores, pero no tiene nada de electrónica (o digamos que la electrónica la tiene el teclado por dentro).

Por ejemplo McLeod tiene también un teclado dual pero en su caso no le funciona porque para que se active PS/2 requiere que el host le envíe una señal de reset, y esto no lo podemos hacer en el prototipo actual porque las señales vistas desde la FPGA son sólo entrada.

En resumen:
  • Hay 3 tipos de teclado: sólo USB, sólo PS/2 y duales.
  • Los duales llevan una clavija USB y en algunos casos incluyen el adaptador (que no lleva circuitería dentro).
  • Comercialmente existen convertidores para poder conectar teclados sólo PS/2 a puertos USB.
  • Comercialmente no existe el paso inverso, que es lo que necesitamos para el ZX-Uno si sólo dispones de un teclado "sólo USB".
  • Hoy en día es prácticamente imposible encontrar teclados PS/2 y cada vez escasean más los duales (los que más abundan son los "sólo USB").


-- Actualizado 19 Jul 2014, 20:02 --

Antes se solía emplear la notación "adaptador" para algo que no tenía circuitería interna (por ejemplo un adaptador jack stereo a RCA) y "conversor" para lo que sí tiene circuitería (por ejemplo conversor video compuesto a VGA). Lo que pasa es que esta notación está en desuso.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Teclado para ZX-Uno

Mensajepor Hark0 » 20 Jul 2014, 12:01

Vamos, que depende de la circuiteria onterna de cada teclado... hmmm.... el otro dia que me "pasee" por el ECI, todo lo que habia era USB, solo USB... ¿hay alguna forma de saber si X teclado es "mod"eable?

-- Actualizado 20 Jul 2014, 12:02 --

@Julio, enviame las HD fotos a mi mail, plis... ;)
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 11 invitados