Sintetizando un Spectrum con el ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 20:46

Hark0 escribió:Te apuntas al tema teclados? :mrgreen: (mejor sacar ahora 5 ....)

A lo que sea, pero necesito aún verificar al 100% este chisme, y todavía no estoy seguro de si todo está correcto. Lo mismo al final tiene un fallo grave y no lo soluciono. :-({|=
Pero digamos que sí, hasta que te diga lo contrario.


Ya he logrado compilar (vale, aceptamos "sintetizar" como "compilar") el TEST6, y esto es lo que veo:
WP_20140213_001.jpg
WP_20140213_001.jpg (53.51 KiB) Visto 4901 veces


¿Alguna Pista?

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 20:57

Si no te funciona el teclado puedes quitar R30 y R31 (no hacen falta), y si te sigue fallando puedes probar a activar las pullups en la FPGA de clkps2 y dataps2.

En cuanto al video. Si ves interferencias o mucho ruido prueba a poner el dedo sobre el cristal (el PAL, que es alargado). Si con eso se arregla y te jode tener que hacer lo mismo cada vez que enchufas el aparato, tendrías que bajar el valor de C1. Como no tenía condensadores de menos de 15pF tienes que hacer una chapucilla, que es conectar en serie (sí es chungo) C1 con el condensador que está pegado a la bolsa por fuera con celo. O es eso o probar con otro condensador que tengas tú de aproximadamente 10pF, no tiene porqué ser SMD.

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 21:06

El teclado funciona a las 1000 maravillas, el cristal está en su sitio, y tocando con el dedo no hago interferencias apreciables. Parece mas un fallo de un condensador. Luego lo miro que me toca cenar.

EDITO: ¡Tiene "usté" razón, Señor Antonio! Eso era, el "condensador de fluzo". Por ahora, le he puesto uno cerámico de "lenteja", por encima. Ya lo arreglaré.
Ahora voy a jugar a programar cosillas a ver que mas veo. Probaré los test que preparó mcleod_ideafix tambien.
WP_20140213_002.jpg
WP_20140213_002.jpg (54.07 KiB) Visto 4889 veces


\:D/

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 21:46

jepalza escribió:Por ahora, le he puesto uno cerámico de "lenteja", por encima. Ya lo arreglaré.


Que raro, yo probé con valores mayores y no funcionaba (se supone que si lo pones en paralelo sumas los valores de los condensadores). El problema sólo está en el arranque, ¿has probado a enchufar y desenchufar?. Hazlo 5 veces seguidas y si en las 5 se ve todo sin interferencias es que lo tienes arreglado.

Es un lujazo cargar cosas en el clon, tanto la imagen como el sonido son excelentes. Aunque no lo parezca yo he podido hacerlo hoy por primera vez, tenía un problema con el teclado que me ha costado tela arreglar.

Avatar de Usuario
radastan
Amiga 2500
Amiga 2500
Mensajes: 4542
Registrado: 11 Jun 2007, 19:29
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Sega Genesis/Megadrive
Primera consola: TV Games/Pong Clone
Ubicación: Córdoba
Gracias dadas: 9 veces
Gracias recibidas: 40 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor radastan » 13 Feb 2014, 22:06

La envidia me corroe, pero ahora mismo tengo que guardar todo hasta un hueco para soldarlo. Es más, en estos momentos tengo una invasión de caracoles en casa (ha traído un par de ellos mi mujer, estaban a la entrada del edificio) y mis hijos están revolucionados.

Sólo quiero un huecooooooooooo... ](*,)
Yo tengo una máquina del tiempo, se llama ZX Spectrum, siempre me devuelve a los buenos momentos.
(\.../) (\.../) (\.../) (\.../)
( *.*) ('.'= ) ('.'= ) ('.'= )
(")_(") (")_(") (")_(") (")_(")
╔═══╦═══╦═══╦══╦══╗
║╔═╗║╔═╗║╔═╗╠╣╠╩╣╠╝
║║─║║╚══╣║─╚╝║║─║║
║╚═╝╠══╗║║─╔╗║║─║║
║╔═╗║╚═╝║╚═╝╠╣╠╦╣╠╗
╚╝─╚╩═══╩═══╩══╩══╝

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 22:10

antoniovillena escribió:Que raro, yo probé con valores mayores y no funcionaba (se supone que si lo pones en paralelo sumas los valores de los condensadores). El problema sólo está en el arranque, ¿has probado a enchufar y desenchufar?. Hazlo 5 veces seguidas y si en las 5 se ve todo sin interferencias es que lo tienes arreglado.


Puede ser por mi tele. Es multi, y detecta el ZXUNO como NTSC. Pero de todos modos, es lo que dices, una vez sí, una vez no. Pero ahora, si toco con los dedos el condensador de lenteja, se arregla hasta que apago y enciendo. Pero para salir al paso, me sirve así de sobra.

una foto de todo el montaje que tengo sobre la minúscula mesa, con el Test del 128k pasado correctamente y el teclado PS2 funcional. (y una foto en pequeño del grabador paralelo, hasta que me llegue el USB)

-- Actualizado 13 Feb 2014, 22:17 --

@mcleod_ideafix: he probado tu patrón de dibujo de píxeles (por cierto, ¡qué lento el condenao!) y a mi no me sale la basura inicial aleatoria. ¿quizás en el test que subiste está reparado el fallo? (es solo curiosidad, mientras funcione, da igual)
Adjuntos
P2120744.JPG
P2120744.JPG (177.53 KiB) Visto 4874 veces

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 22:22

Ya verás cuando lo tengas en la caja con la tapa puesta la rabia que te da el tener que darle con el dedito. Déjate de tonterías ya, carga el test7 y métele algún juegecillo por el puerto EAR.

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 22:28

antoniovillena escribió:Ya verás cuando lo tengas en la caja con la tapa puesta la rabia que te da el tener que darle con el dedito. Déjate de tonterías ya, carga el test7 y métele algún juegecillo por el puerto EAR.


Jeje, vale, te hago caso. Le meto el 7, y pruebo a cargarle algo. Mañana arreglo lo del "dedito", que ahora es tarde para ponerse a soldar de nuevo. Pero lo de probar un juego, ahora mismo voy. En breve comento.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 13 Feb 2014, 22:31

jepalza escribió:
antoniovillena escribió:@mcleod_ideafix: he probado tu patrón de dibujo de píxeles (por cierto, ¡qué lento el condenao!) y a mi no me sale la basura inicial aleatoria. ¿quizás en el test que subiste está reparado el fallo? (es solo curiosidad, mientras funcione, da igual)


Respondo yo por él. El patrón es aleatorio la primera vez cuando no hay nada cargado en RAM. Si haces las pruebas varias veces sin desenchufar el aparato o después de haber cargado otro test distinto no verás nada aleatorio. Tienes que desenchufar durante un par de segundos para que la RAM se borre y veas los patrones aleatorios al encender.

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 13 Feb 2014, 22:38

Entendido lo del patrón aleatorio.

Lo de cargar cintas ¡DE LUJO!, estoy cargango una demo que ha subido mcleod, de algo HIRES-C64, y por ahora, va de vicio.... que gozada, que sencillez :jumper:

Edito, según estoy hablando, ya ha entrado. Sale una foto de una tia con unas chapas de C= (Commodore) y un monigote. FUNCIONA TODO AL 100%.
Mañana arreglo lo del ruido, y lo meto en la caja, antes que rompa algo por tenerlo al aire.
HURRA por los que os habéis currado este invento. =D>


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 13 invitados