Sintetizando un Spectrum con el ZX-Uno

Sinclair QL, ZX81, +2, +3, 128K ...
Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 14 Feb 2014, 10:03

antoniovillena escribió:El que me sobra está parcialmente montado. Lo más chungo (la FPGA) ya está soldada, igual necesitas repasar algunos pines que no hacen buen contacto, pero la probabilidad de que eches a perder el clon como le pasó a jepalza es baja. Lo que te quiero decir es que no necesitas ser un manitas con el soldador, tan sólo mucha paciencia y tomar precauciones, como usar flux cada vez que haya que repasar la FPGA. Ah y también tienes que comprarte un cable, unos 25 euros o algo menos si es paralelo como el de jepalza.


Hombre si me lo envias, termino de montarlo... y si me das el link del cable (¿otra vez?... si, no se donde puñetas tengo el link guardado) lo pido... 8-) ;)


Teclas: Acabo de medir las teclas de un Plus, miden unos 15x18 mm... habrá que apretarse para el nuestro...
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 14 Feb 2014, 10:11

Aquí tienes unos cuantos:
http://www.aliexpress.com/wholesale?Sea ... 0214010607

En ebay están más o menos por el mismo precio, un pelín más caros pero puedes pagarlo por paypal. También hay cables paralelos mucho más baratos, pregúntale a jepalza dónde lo compró, igual incluso te puede vender el suyo.

Sí, las fuentes de las pegatinas tienen que ir muy ajustadas.

Avatar de Usuario
Lenko
Atari 1040 STf
Atari 1040 STf
Mensajes: 797
Registrado: 29 Mar 2005, 11:39
Gracias dadas: 444 veces
Gracias recibidas: 92 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Lenko » 14 Feb 2014, 10:26

Sé que la idea es que el clon sea autoactualizable pero pregunto... ¿ese cable serviría para cualquier FPGA de Xilinx ¿verdad?

PD: por cierto, creo que expreso el sentir general de todos los que leemos este hilo... LOS DIENTES ME LLEGAN AL SUELO.

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor mcleod_ideafix » 14 Feb 2014, 11:52

Lenko escribió:Sé que la idea es que el clon sea autoactualizable pero pregunto... ¿ese cable serviría para cualquier FPGA de Xilinx ¿verdad?

Sï. Con él podras configurar FPGA's y CPLD's de Xilinx. Creo que tanto las antiguas Spartan y Spartan II como las nuevas Spartan 6. También vale para flashear CPLDs de las de 5V (la serie XC9500) como la que usé en este recambio de PLA para C64:
viewtopic.php?f=39&t=3852
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 14 Feb 2014, 12:50

radastan escribió:Venga, dilo, no pasa nada: ESTÁS MÁS A GUSTO Y DISFRUTAS MÁS CON LO QUE TIENES AHORA


Si, por una razón: antes si quería jugar con algo que no fuera el Specrtum (que siempre lo he tenido en casa), tenía que ir a la lonja, y la pereza y el hecho que aparcaran siempre delante, me echaban para atrás, y al final, nunca iba, y no jugaba. Por el ejemplo, el A500 solo podía estar en la lonja, ahora lo tengo detrás mio, en un armario, y cuando quiera, lo saco y punto pelota.

Pero el agobio de lo que he hecho y estoy haciendo, sigue ahí, me sigue apenando haber vendido cosas como el A1200, el PC200, bla, bla, .... :explosion

-- Actualizado 14 Feb 2014, 12:57 --

superfo escribió:Hi,
I forgot to tell you that C1 have to be 10pf so the AD724 will work correctly.

Or even, less than that. In my case, 5pf for good result.


Para los que no entiendan: el condensador C1 que en el esquema es de 15pf, debería ser de 10 pf o menos. En mi caso, he colocado uno de 5pf y va mejor, ya no tengo que "tocar con el dedo" :mrgreen:

-- Actualizado 14 Feb 2014, 13:16 --

antoniovillena escribió:En ebay están más o menos por el mismo precio, un pelín más caros pero puedes pagarlo por paypal. También hay cables paralelos mucho más baratos, pregúntale a jepalza dónde lo compró, igual incluso te puede vender el suyo.


El de paralelo me lo he construido yo con un 75LS138, 9 resistencias y 5 condensadores de lenteja. Lento, pero funciona.
El de USB lo compré la semana pasada, y espero me llegue el lunes o martes, y me ha salido 42 euros con gastos desde UK. En china salen por 35 gastos incluidos, pero por 6 euros mas, lo tengo en una semana en casa, no como desde china, que lo mismo te tarda 40 días.

-- Actualizado 14 Feb 2014, 13:19 --

mcleod_ideafix escribió:Por favor, probad a cargar alguno de estos juegos:
- King's Valley : se me bloquea cuando pulso la tecla SPACE
- Cobra : se bloquea cuando empieza el juego (versión original carga turbo con contador y relleno de pantalla muy chulo)
- Arkanoid : se bloquea nada más terminar de cargar (versión original carga turbo)


El cobra que yo he probado sí funciona, pero en el mio pone "cobra force" ¿es este, u otro?.
EL arkanoid, tambien me funciona, pero es que acabo de leer que pones versión turbo, y yo he probado el normal.
El king's ese no lo tengo, lo tengo que buscar por la red.

Confirmado lo del king's: se bloquea al pulsar SPACE.

Avatar de Usuario
Hark0
Amiga 1200
Amiga 1200
Mensajes: 1695
Registrado: 11 Jul 2012, 23:44
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Ubicación: Cornellà de Llobregat - Barcelona
Contactar:

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Hark0 » 14 Feb 2014, 13:58

Esto mismo?

http://www.aliexpress.com/item/Free-shi ... 69944.html

Cables y toda la pesca....
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor antoniovillena » 14 Feb 2014, 14:07

Sí, ese te vale y los cables que lleva también

Avatar de Usuario
Metalbrain
MSX Turbo R
MSX Turbo R
Mensajes: 435
Registrado: 22 Nov 2008, 00:30
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: (Otro)
Primera consola: (Otro)
Gracias recibidas: 2 veces

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor Metalbrain » 14 Feb 2014, 14:15

jepalza escribió:
mcleod_ideafix escribió:Por favor, probad a cargar alguno de estos juegos:
- King's Valley : se me bloquea cuando pulso la tecla SPACE
- Cobra : se bloquea cuando empieza el juego (versión original carga turbo con contador y relleno de pantalla muy chulo)
- Arkanoid : se bloquea nada más terminar de cargar (versión original carga turbo)


El cobra que yo he probado sí funciona, pero en el mio pone "cobra force" ¿es este, u otro?.
EL arkanoid, tambien me funciona, pero es que acabo de leer que pones versión turbo, y yo he probado el normal.


El Cobra es este, es un juego bastante famoso:
http://www.worldofspectrum.org/infoseek ... loadpics=3

jepalza

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor jepalza » 14 Feb 2014, 14:45

Como había varios "cobra" dentro de la carpeta que guardo, he cogido uno que ponía sin mas "cobra.tap", y resulta que es el "cobra force".

Si os interesa (a los únicos dos por ahora que tenéis el mini-churri este), he compilado el test7 pero con una ROM no tokenizada, de las de escribir letra a letra.
Es mas cómodo para trabajar en un teclado no-spectrum, por que no tienes que andar mirando chuletas. Así, cargar un juego ya no es "J", sino "L-O-A-D" :D

Incluyo el BIT sintetizado y la "foto finish" de mi "churri". En la foto, si os fijáis arriba a la derecha del ZXUNO, junto al cristal de cuarzo alargado, se ve la "lenteja" de 5pf que le he puesto en lugar del smd de 15pf.
Adjuntos
tld_zxuno.rar
(66.94 KiB) Descargado 178 veces
P2130747.JPG
P2130747.JPG (2.03 MiB) Visto 4557 veces

JULIO
Commodore 128
Commodore 128
Mensajes: 121
Registrado: 22 Feb 2013, 18:58
Sistema Favorito: Spectrum +2
primer_sistema: Spectrum 16Kb/48Kb
Primera consola: TV Games/Pong Clone

Re: Sintetizando un Spectrum con el ZX-Uno

Mensajepor JULIO » 14 Feb 2014, 16:15

Hola, ayer soldé la FPGA, trabajito me costó y falta ver si hay alguna falsa soldadura, bueno tambien la RAM, el AD...y alguna resistencia.

Habeis comentado que el condensador de 15 pasa a solo 5pF, pero es que repasando el esquema, si R32 y R33 valen 0, esto provoca un corto entre GND y +5v ¿ o no?

¿Que orientación a de tener el oscilador, el pin 1 es el de la vía?

saludos
Julio.


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 15 invitados