ZX-Uno, clon barato basado en FPGA

Sinclair QL, ZX81, +2, +3, 128K ...
jepalza

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor jepalza » 17 Sep 2013, 17:53

In my OLS (from 2011) , Flash is Winbond, and burn it with "ols_winloader_v2.04" modified by hand (hexadecimal editor) in order to get my Flash.
Adjuntos
mi_flash_ols.JPG
mi_flash_ols.JPG (78.79 KiB) Visto 5545 veces

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor antoniovillena » 17 Sep 2013, 17:59

mcleod_ideafix escribió:Cuando lo quiera usar únicamente con video compuesto, me basta con ponerle una clavija RGB que actue como terminador de señal, es decir, una clavija que internamente una las tres señales RGB a masa. Es tosco, pero si no hay espacio para seguidores de emisor que desacoplen el RGB para salida del RB para el AD724, para mi es lo mejor.


Hay otro inconveniente, en este caso sólo nos afecta a los desarrolladores de código VHDL/Verilog. El conector JTAG ocupa sólo una de las filas, pero el cable RGB necesita 8 pines, que es una fila entera y 2 pines de la otra (las señales GND y 2.5V). El terminador no necesita los 8 pines del cable RGB, se bastaría con 4 pines (R, G, B y GND), pero al ocupar estos pines las 2 filas tenemos el mismo problema que con RGB. En resumen, que tener enchufado el terminador o el cable RGB inhabilita que podamos enchufar el cable JTAG.

Una solución sería replicar la fila del conector JTAG en el propio cable RGB o terminador.

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor mcleod_ideafix » 17 Sep 2013, 18:02

Pues sí, es una jodienda... ya que para las pruebas, yo voy a usar RGB y así me aseguro de que lo que pongo en la FPGA es lo que veo en el monitor. ¿No podría estar el conector JTAG dentro de la placa, y no expuesto al exterior? A fin de cuentas, no son señales a las que el usuario final necesite acceder durante el funcionamiento habitual del aparato.
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor antoniovillena » 17 Sep 2013, 18:29

mcleod_ideafix escribió:Pues sí, es una jodienda... ya que para las pruebas, yo voy a usar RGB y así me aseguro de que lo que pongo en la FPGA es lo que veo en el monitor. ¿No podría estar el conector JTAG dentro de la placa, y no expuesto al exterior? A fin de cuentas, no son señales a las que el usuario final necesite acceder durante el funcionamiento habitual del aparato.


Claro que se puede, pero no quiero retrasar más el prototipo, y a no ser que sean cambios importantes no quiero darle más trabajo a Superfo. En tu caso puedes añadir a la fila RGB los 2 pines que te faltan (GND y 2.5V), uno a cada lado. Luego puenteas a la fila de abajo esos dos pines que te has sacado de la manga.

Quedaría algo así:

Código: Seleccionar todo

Antes
 oooooo
 oooooo

Despues
oooooooo
 oooooo


Así puedes conectar y desconectar RGB y JTAG independientemente, puesto que ambos conectores serían de una sola fila. Eso sí, este cable RGB no cabría con la carcasa puesta.

-- Actualizado 17 Sep 2013, 17:33 --

Todavía no me has dicho si quieres una PCB de prototipo con todos sus componentes.

Avatar de Usuario
mcleod_ideafix
Amiga 2500
Amiga 2500
Mensajes: 5316
Registrado: 06 Oct 2009, 04:12
Sistema Favorito: Spectrum 16Kb/48Kb
primer_sistema: Spectrum 16Kb/48Kb
consola_favorita: Vectrex
Primera consola: TV Games/Pong Clone
Ubicación: Jerez de la Frontera
Gracias dadas: 12 veces
Gracias recibidas: 53 veces
Contactar:

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor mcleod_ideafix » 17 Sep 2013, 19:01

Por cierto, para mi clon de la ULAplus, he recalculado los valores de las resistencias que uso. Al final creo que me decidiré por algo así (para vuestra referencia):
Imagen

Esto es una de las tres etapas del DAC RGB, pero con dos salidas. La salida RGB_AD724 tiene un rango de 1.66V a 2.376V (714mV de excursión, perfecto para el AD724), una impedancia de 75 ohmios, y va directa al condensador de 100nF que hay en la entrada del AD724. No importa que esta señal tenga offset de continua porque precisamente el condensador se lo quita.

La otra salida, RGB_OUT, es una salida buffereada gracias a Q1. He escogido el BC547 porque tiene una baja capacidad parásita base-emisor, lo que significa que el filtro RC que inevitablemente se forma entre las señales de la FPGA y el transistor, tendrá una frecuencia de corte grande y afectará muy poco, o nada, a la rapidez de las señales que tenemos aquí. Q1 saca una señal con un offset DC de poco más de 1V, y con una excursión de 0,7V.

El offset DC de esta otra salida me preocupa(ba) un poquito más, hasta que me ha dado por mirar el esquemático del 1084, el monitor que habitualmente uso. En él puedo ver cómo cada señal R,G,B, lo primero que se hace con ella es pasarla por un condensador para quitarle el offset DC, igual que ocurre en el AD724.

Imagen

AR,AG y AB son las entradas analógicas RGB, que vienen directamente del conector DB9 trasero del 1084, después de haber pasado por un conmutador que elige RGB analógico o RGB digital. Si se elige RGB digital, las tres entradas RGB más la entrada de brillo van a un circuito que las mezcla para producir una señal RGB analógica con la información de brillo añadida. Esta nueva señal RGB es la que está en las señales DR,DG y DB que se ven abajo del esquema.

Volviendo a las señales analógicas AR, AG y AB, primero tienen cada una resistencia (R735 a R737) que fija la impedancia de estas entradas a 150 ohmios (en lugar de los habituales 75 ohmios). No pasa nada con esto, ya que la impedancia de salida del bufer Q1 es muy muy baja y puede atacar una carga de 75 ohmios, o de 150 ohmios, o incluso menor que ambas.

Luego, los condensadores C707 a C709 quitan la componente DC que hubiera en las señales RGB.

Luego, las resistencias de pullup R738 a R740, de 820 ohmios elevan la tensión en ese punto de forma que los diodos D712 a D714 se polarizan directamente y dejan pasar las señales, con su nueva componente de continua, llegando al punto donde se unen con su correspondiente señal que viene de haberse generado en el circuito RGB digital-> RGB analógico antes comentado. En ese punto de unión, las señales RGB van a las entradas de un TDA3507, que nuevamente les quita la componente contínua justo antes de que entren.

El TDA3507 es bastante interesante. De su datasheet he averiguado, por ejemplo, que realmente las señales RGB no van de 0 a 0,7V sino de 0 a 1V (así que probablemente tenga un poco menos de contraste de lo que calculé inicialmente).

Cuando se elige usar RGB TTL (digital), las resistencias R738 a R740 no están conectadas a tensión positiva, sino que quedan desconectadas, dejando a los diodos D712 a D714 cortados, impidiendo de esa forma que la señal RGB generada entre al circuito que se usa para las señales RGB analógicas "de origen".

-- Actualizado 17 Sep 2013, 19:02 --

antoniovillena escribió:Todavía no me has dicho si quieres una PCB de prototipo con todos sus componentes.

Sí, sí. Hubiera jurado que te lo dije, pero si no es así, SÍ me apunto a un prototipo.
Recuerda: cada vez que se implementa un sistema clásico en FPGA, Dios mata a un purista

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor antoniovillena » 17 Sep 2013, 20:13

Muy interesante el aporte. El circuito que has puesto al principio es el que debería haber puesto yo en los esquemáticos iniciales, sin embargo puse uno erróneo. Tomaré nota por si más adelante hay espacio en la placa para esos transistores. Ya te he apuntado.

-- Actualizado 18 Sep 2013, 15:58 --

Ya tenemos la PCB lista para pedir. Los archivos gerber están el en primer mensaje del hilo por si alguien quiere fabricarse sus propios prototipos. Sólo falta que McLeod me dé el visto bueno. ¿A qué grosor las pido, a 0.8mm?

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor antoniovillena » 21 Sep 2013, 11:11

Ya he pedido las placas, al final me han costado $10 dólares más caras de lo que pensaba porque no tuve en cuenta los gastos de envío (en anteriores placas al ser más caras no me los cobraron). A pesar de esto, mantengo los precios igual. Las he pedido de 1.0mm en lugar de 0.8mm, no vaya a ser que se partan al manipularlas. En cuanto tenga el código de seguimiento de correos lo pongo por aquí, como el tiempo medio suele ser unas 3 semanas, calculo que me llegarán más o menos el 12 de Octubre.

Deciros que si bien el cupo de prototipos está lleno, aún podría pedir 5 componentes más para cubrir las 5 PCBs que me sobran (he pedido 10 PCBs y 5 kits de componentes de momento). Así que mantengo abierta la lista de prototipos y os pongo en reserva a los que os apuntéis (se pueden pedir más de una placa por cabeza). Si se apuntan 3 o más haré el pedido (no me importa quedarme con 2 de reserva), si son 2 o menos lo descartaré. Os recuerdo que el precio de los prototipos es de 22 euros (pcb+componentes+envío) a lo que habría que añadirle opcionalmente 0.50 euros por una jeringa de flux (sólo me quedan 2). Como esto no es un hilo de compraventa voy a trasladar a este hilo la gestión de los prototipos, así que si están interesados háganlo directamente por ahí.

Avatar de Usuario
Pachuquin
Amstrad PCW 8256
Amstrad PCW 8256
Mensajes: 146
Registrado: 07 Ago 2013, 17:37
Sistema Favorito: Spectrum +2
primer_sistema: Dragon
consola_favorita: Nintendo DS/3DS
Primera consola: TV Games/Pong Clone
Gracias recibidas: 1 vez

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor Pachuquin » 21 Sep 2013, 11:31

No estoy autorizado a leer ese foro.

¿Es porque no tengo bastantes mensajes publicados?

Avatar de Usuario
antoniovillena
Amiga 1200
Amiga 1200
Mensajes: 2013
Registrado: 16 Abr 2012, 21:22
Gracias recibidas: 8 veces

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor antoniovillena » 21 Sep 2013, 11:37

Pachuquin escribió:No estoy autorizado a leer ese foro.

¿Es porque no tengo bastantes mensajes publicados?


Creo que es por eso. Vamos a ver que dicen los administradores, de momento no puedo venderte nada por un hilo que no sea de compraventa, y éste no lo es.

Avatar de Usuario
Pachuquin
Amstrad PCW 8256
Amstrad PCW 8256
Mensajes: 146
Registrado: 07 Ago 2013, 17:37
Sistema Favorito: Spectrum +2
primer_sistema: Dragon
consola_favorita: Nintendo DS/3DS
Primera consola: TV Games/Pong Clone
Gracias recibidas: 1 vez

Re: ZX-Uno, clon barato basado en FPGA

Mensajepor Pachuquin » 21 Sep 2013, 11:49

Ah, perfecto.

Me he acordado de que Emilio Aragón Jr (Milikito) tenía un programa de humor en la radio que se llamaba "Chispa y Humo", donde hacían sketches y eso.

En uno la profesora le mandaba hacer una redacción de 500 palabras y era asi:

"El otro día salí a pasear con mi perrito y de repente se me escapo. Entonces empece a buscarlo. Perrito. Perrito. ¿Donde estás? Perrito. Perrito. Vuelve. Vuelve aquí, Perrito. Perrito. ¿Donde estás? Perrito. Vuelve, perrito... etc, etc, etc," ;)


Voy a publicar 500 mensajes de: "Que bien", "Buen aporte", "Gracias" y listo. :)

Era broma.

Chau


Volver a “Sinclair/Spectrum”

¿Quién está conectado?

Usuarios navegando por este Foro: No hay usuarios registrados visitando el Foro y 63 invitados